출처: http://electroiq.com/petes-posts/2013/10/14/progress-on-450mm-at-g450c/



Progress on 450mm at G450C

At Semicon Europa last week, Paul Farrar, general manager of G450C, provided an update on the consortium’s progress in demonstrating 450mm process capability. He said 25 tools will be installed in the Albany cleanroom by the end of 2013, progress has been made on notchless wafers with a 1.5mm edge exclusion zone, they have seen significant progress in wafer quality, and automation and wafer carriers are working.

G450C is an initiative by five big chip makers — Intel, TSMC, GLOBALFOUNDRIES, IBM and Samsung – partnered with New York state and CNSE. The main goal is to develop 10nm capability on 450mm wafers in 2015 or 2016. “What we have to demonstrate is that a film on 300mm, when we scale it up to 450mm, we can do it with the same capability and, more importantly, at a very significantly reduced cost per process area. In other words $/cm2 need to go down significantly. That’s how you hit the scaling that we’ve typically seen in a wafer transition which is in the 30% range,” Farrar said.  

G450C aims to develop 10nm capability on 450mm wafers in 2015/2016.

G450C aims to develop 10nm capability on 450mm wafers in 2015/2016.

Farrar said the facility looks quite different now than it did in March, when it was fairly empty. 18 tools have been installed so far, with a total of 25 tools delivered into the Albany complex by the end of 2013. “2013 is the year that I call install and debug,” Farrar said. “We’ll have approximately 50% of the toolset in the facility by the year end. It doesn’t mean that they’ll all be up and running but they will be placed in Albany or virtually at the suppliers, with about 35% of the toolset coming in 2014 and the last little bit that will be delivered will be the lithography tool in early 2015.” The program is organized around unit processes, including: film deposition and growth, wafer clean and strip, CMP and other processes, inspection and metrology, etch and plasma strip, and lithography.

In call cases, G450C will have at least one process that will be required for the 14nm flow. In most cases (about 70%) they will have multiple suppliers, at least two and sometimes three. “At the end, we’ll have both unit process and what I would call modules – 2 or three step processes – demonstrated. And then our member companies will take those building blocks and they will put their devices and their IP and then go build out factories,” Farrar said.

Farrar showed data demonstrating significant progress in wafer quality. He noted that they now have one wafer supplier and a second one coming on line. He also said automation and carriers were working well. “I don’t think they’ll be showstoppers. There are always things you can learn but those are working reasonably well,” he said.

G450C is also trying to take advantage of having a clean slate to make a switch from notched wafers – which provide a useful indicator regarding the crystal orientation of the silicon – to notchless wafers, which are perfect circles. “If you think about the physics around a notch, it really makes it difficult to get uniform films,” Farrar said. “A circle is a lower stress form. We get 1-1.5% better in getting closer to the edge. Using chips around the notch and perhaps getting to 1.5mm edge exclusion. We won’t get there if we don’t have notchless wafers. Our goal is to collaborate with our IC makers, our tool suppliers and materials suppliers, along with our facilities group.”

Probably the most critical part of the 450mm puzzle is lithography. Farrar said the consortia has been working with Nikon. “We were able to work with Nikon so that we now have immersion capability, in Japan, starting in June of 2014 and we’ll then have that tool installed in Albany at the end of the first quarter of 2015. We will have a true lithography capability which will enable us to get the efficient and actual process recipes that the deposition supplier will need to see so that they can demonstrate the capabilities at the 450 wafer form factor,” he said. “In the interim, we’re working on DSA (directed self assembly). We’re starting to see some pretty good results. I don’t think this will be a high volume technique but it’s a way that we can get something that works started in the early process modules in 2013 and early 2014.”

Wafer quality has improved, and wafer reclaim efforts are underway. “When we started this program, we had a handful of wafers. That was in the 2012 timeframe. We started to get reasonable test and monitor wafers in late 2012, and if you look at where we are today, in the 2nd half of 2013, we have a quality spec where we’re hitting bout 98% of the area is in spec, and the particle level is effectively every wafer is meeting the specification. We still need a little work on wafer flatness,” Farrar said. The next step is what he called “prime” wafers, which they expect to have in the middle of 2014.  

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

4 thoughts on “Progress on 450mm at G450C

  1. Pingback: Blog Review October 14 2013 | Solid State Technology

  2. Pingback: Blog Review October 14 2013 | Semiconductor Manufacturing & Design Community

  3. Pingback: Intel stands firm on 450mm; challenged by defects at 14nm | Pete's Posts Blog

  4. Sang Kim

    Due to the delay of Intel’s 14nm FinFET manufacturing to the first quarter of 2014, TSMC’s first 16nm FinFET will be the most advanced process technology to be manufactured below Intel’s 22nm node in the 4th quarter of 2013. The device electrical transfer characteristics to be presented at the IEDM look impressive, and will be very interesting if Intel’s 14nm FinFET data could be published for a comparison.

    Reply


Posted by 세모아
,

My 요약:

Process Recipe가 Process Program을 포함하는 용어.

Process Program 이 Process Recipe의 하나의 종류로 보면 됨.


-----------------------------

E42. $6절 찾아서 보기 (아래참조)



Posted by 세모아
,

출처:


타이완, TSMC 450mm팹 건설 승인

이재구 국제과학전문기자 jklee@zdnet.co.kr 2012.06.12 / AM 11:02 TSMC, 450mm, 인텔, 삼성, 아일랜드, 뉴욕




[지디넷코리아]타이완정부가 TSMC의 450mm팹 건설을 승인했다고 EE타임스,로이터 등이 11일 보도했다.
 
보도는 경제건설위원회(CEPD)가 80억~100억달러 규모의 TSMC팹 건설 투자계획 승인에 따라 TSMC가 오는 2014년까지 타이완 중부 타이충에 팹을 건설할 것이라고 전했다.
 
총 건설비용 80~100억달러 규모의 이 팹은 오는 2019년까지 연간 67억달러 규모의 웨이퍼를 생산하게 될 것으로 전망되고 있다.
▲ TSMC신추공장에서 생산된 450mm 웨이퍼.
 
TSMC는 2013년부터 타이충 신설할 450mm 웨이퍼전용 팹15 구축에 앞서 지난 해 설치한 신추 팹12에서 450mm웨이퍼 파일럿라인을 구축,가동하고 있다.  
 
보도는 TSMC 신추 팹라인에서는 20나노 웨이퍼를 가공하고 있으며 타이충 팹에서는 14나노급 웨이퍼가 생산될 예정이라고 전했다.
 
한편 인텔은 지난 달 아일랜드에서 450mm 웨이퍼공장 구축을 위한 설명회를 가지고 내년 중 450mm팹에서 제품을 생산하게 될 것이라고 밝혔다. 


Posted by 세모아
,
  • SEMI 회원사 소식: "2014년 양산용 EUV장비 선보일것"

2012.11.5 ㅡ "반도체 업체들의 양산용 극자외선(EUV) 포토 리소그래피(노광) 장비는 2014년 선보일 예정입니다."

김영선 ASML코리아 사장은 4일 디지털 타임스와의 인터뷰에서 EUV 노광 장비 개발과 관련, "기술적 어려움은 극복했지만, 소스(광원) 문제가 EUV 장비 공급의 열쇠가 될 것"이라며 오는 2014년 출시를 자신했다.

ASML은 네덜란드에 본사를 둔 전세계 반도체 장비 업계 1위 업체다. 이 회사에서 공급하는 노광장비는 삼성전자, 인텔, TSMC, SK하이닉스 등 전세계 반도체 업체들이 이용하고 있다. 20나노대 이하 미세공정으로 진화하고 있는 반도체 제조 공정에서 노광은 실리콘 웨이퍼에 회로 패턴을 형성하는 핵심 과정이다. 반도체 공정 진화에 가장 중요한 장비를 이 회사가 사실상 독점 공급하고 있는 셈이다.

김 사장은 "회사가 개발한 NXE 3300은 10나노대 공정을 위한 양산 장비로 시간당 웨이퍼 처리량이 125장은 돼야 경제성이 있는데 아직 거기까지 미치진 못했다"며 "로드맵 상 내년 양산용 장비는 개발돼야 하지만, 현 상황에서는 2014년 본격 양산될 것"이라고 말했다.

반도체 제조공정은 반도체 회로도가 그려진 마스크(Mask)라는 패턴판에 빛을 통과시켜 감광액이 묻은 웨이퍼를 마스크에 그려진 것과 같은 형태로 축소된 회로패턴을 그리는 것이다. 이 과정에서 핵심은 빛을 쪼이는 노광기술로 특정 파장의 광원을 이용, 빛을 정밀하게 쪼여야 작동이 가능한 반도체를 생산할 수 있다. 현재 20∼40나노대 반도체 제조 공정에서 사용되는 노광장비는 193nm 레이저 파장을 갖는 이머전(액침방식) 불화아르곤(ArF)이다. 반도체 회로 선폭을 20나노 이하로 줄이려면 파장이 좀 더 짧은 EUV 노광 장비가 필요하다.

김 사장은 "13.5나노 파장을 쓰는 EUV는 현재 단계에서 광원 에너지(소스)가 부족하다"며 "하지만, 시간당 70장만 노출돼도 EUV가 (현재 20나노대에서 주로 활용되고 있는 방법인) 더블 패터닝 보다 경제성이 있다"고 말했다.

다만, 20나노 이하 미세공정을 위한 투자가 과연 반도체 업체들에게 경제성이 있는 지가 향후 EUV 도입 과정에서 중요한 변수가 될 전망이다. EUV 장비는 천문학적 금액을 필요로 하는 만큼 투자비용에 대한 회수 문제가 중요해지고 있기 때문이다.

현재 개발 중인 EUV 장비가 본격 적용되는 공정은 10나노대 공정이 될 것으로 전망했다. 그는 "20나노 공정을 위해 EUV 장비가 필요하지는 않을 것"이라며 "20나노가 경제적 로드맵은 아니며 잠시 지나가는 단계로 나중에는 14나노 공정 경쟁이 본격화 될 것"이라고 말했다. 또, 그는 "CPU나 모바일 AP 같은 로직 분야는 14나노 공정 전환에 대한 필요성이 명확하다"면서도 "EUV 장비는 기술적으로 필요한 사용자와 공급자가 같이 개발해서 쓰는 형태로 기술적 부문에 대한 공유가 중요하다"고 말했다.

이를 위해 인텔, 삼성전자, TSMC 등은 차세대 EUV 장비 개발을 위해 지난 여름 천문학적 금액을 투자한다고 밝힌 바 있다.

Posted by 세모아
,
  • SEMI 회원사 소식: LG실트론 `18인치 웨이퍼` 속도


2012.10.10ㅡ차세대 반도체 원판(웨이퍼)으로 주목받고 있는 450㎜(18인치) 웨이퍼 제작에 LG실트론(대표 변영삼)이 속도를 내고 있다. 2년 전 18인치 웨이퍼 제작용 잉곳을 세계에서 3번째로 개발한 이 회사는 처음으로 이 제품을 대외적으로 공개했다. 물론 18인치 웨이퍼 상용화는 여전히 시간이 걸릴 것으로 예상되지만, 차세대 반도체 웨이퍼 생산을 국내 업체도 주도할 수 있다는 점에서 주목된다.

10일 일산 킨텍스에서 열린 `14회 국제반도체대전(i-SEDEX)'에 참가한 LG실트론은 18인치 웨이퍼 제작에 사용되는 잉곳을 처음 선보였다.

LG실트론 관계자는 "LG실트론은 18인치 웨이퍼 반도체 시장에 대한 고객사 요구에 대응해 현재 18인치 웨이퍼에 대한 연구 개발을 지속적으로 진행하고 있다"며 "현재 반도체 시장은 이르면 2015년을 웨이퍼 양산 시점으로 예상하고 있다"고 말했다.

LG실트론이 개발한 잉곳은 반도체 생산 원재료 실리콘을 녹여 원기둥 모양 결정으로 만든 것이다. 반도체 주 재료인 웨이퍼는 바로 이 잉곳을 얇게 절단해 만들어지는 것으로 18인치 용 잉곳 개발은 18인치 웨이퍼 생산이 가능함을 의미한다.

LG실트론은 2년 전 18인치 웨이퍼 제작용 잉곳을 개발했지만, 지금까지 무결함 제품 생산을 위해 지속적으로 품질 개선을 진행해 왔다. 2년간 제품 완성도를 보완한 LG 실트론은 이번 국제반도체대전을 통해 처음으로 자사 18인치 웨이퍼 제작용 잉곳을 공개하게 됐다.

반도체 주재료인 웨이퍼 시장은 신에츠화학과 섬코 등 일본 업체들이 주도하고 있다. 18인치 웨이퍼 용 잉곳 또한 이들 업체들이 세계에서 가장 먼저 개발했으며, LG실트론의 18인치용 잉곳 개발은 이들에 이어 세계 3번째다.

업계 관계자는 "국내 업체 LG실트론이 차세대 웨이퍼 생산을 위한 기술 개발에 지속 참여, 외부에 공개할 정도의 기술력을 갖추게 됐다"며 "이는 차세대 웨이퍼 시장을 국내 업체가 주도할 수 있다는 점에서 의미가 있다"고 말했다.

18인치 용 웨이퍼 잉곳은 이미 개발했지만, 실제 상용화에는 시간이 걸릴 전망이다. LG실트론은 2015년을 본격 양산 시점으로 예상하고 있으며, 관련 업계 또한 시일이 조금 걸릴 것으로 전망하고 있다. 현재 LG실트론의 18인치 웨이퍼 잉곳을 이용해 생산된 웨이퍼는 한 장 당 약 5만 달러로 12인치 웨이퍼(약 200달러)에 비해 250배 비싸다.

후방 업체들의 18인치 웨이퍼 전용 장비 또한 현재 거의 개발되지 않은 상태며, 수요 업체들인 삼성전자 등 반도체 업체들도 18인치 웨이퍼 도입 논의는 시기상조라고 평가하고 있다. 업계는 18인치 웨이퍼가 장당 1000달러 이하로 내려가는 시점이 LG실트론의 18인치 웨이퍼 본격 양산 시점을 될 것으로 전망했다.

업계 또 다른 관계자는 "수요만 있으면 (LG실트론이 18인치 웨이퍼를) 당장 양산 할 수 있겠지만, 수요가 전혀 없기 때문에 시장 형성을 논하긴 아직 이르다"고 말했다.

Posted by 세모아
,
  • SEMI 회원사 소식:반도체장비업체 ASML "美 싸이머社 인수합의"

2012.10.18ㅡ세계 최고의 반도체 리소그래피(노광) 장비업체 네덜란드 ASML은 17일(현지시각) 미국의 노광 장비용 레이저 생산업체 싸이머를 19억5000만유로(약 2조8218억원)에 인수하기로 합의했다고 밝혔다.

ASML은 새로운 저비용 고성능 마이크로칩 제조 기술을 개발하는 속도를 높이려고 이번 계약을 성사시켰다고 설명했다. ASML은 싸이머의 극자외선(EUV) 리소그래피 기술을 자사의 리소그래피 시스템 디자인 능력과 결합할 것이라고 말했다. 리소그래피는 극히 미세하고 복잡한 전자회로를 반도체 기판에 그려 집적회로를 만드는 기술이다.

양사 이사회는 이번 합의를 만장일치로 승인했다. ASML은 싸이머와 1년 넘게 긴밀하게 협력해왔으며 자연스럽게 발전해 인수에 이르게 됐다고 전했다. ASML은 앞서 지난 여름 제품 개발 가속화를 위해 인텔과 삼성전자, 대만의 TSMC 등 3개 반도체회사에 지분 23%를 넘기고 38억5000만유로의 투자를 받았다.ASML은 또 3분기 2억7500만유로의 순이익을 냈으며 매출은 12억3000만유로라고 발표했다.

Posted by 세모아
,

[SEMI:회원사] 3S, 450mm 웨이퍼 운송용기 개발 MOU 체결

SEMI 회원사 소식을 알려드립니다!

  • SEMI 회원사 소식: 3S, 450mm 웨이퍼 운송용기 개발 MOU 체결

2012.10.25 ㅡ국내 유일 FOSB(Front Opening Shipping Box) 양산 업체 삼에스코리아(이하 3S)는 지난 16일 미국 엔터그리스(Entegris Inc.)와 450mm 웨이퍼 운송용기 개발 협력 양해각서(MOU)를 체결했다고 24일 밝혔다.

이날 기업IR을 개최한 3S는 "이번 MOU를 통해 450mm 웨이퍼용 운송용기 개발에 힘을 합치게 됐다"고 설명했다.

450mm 웨이퍼는 오는 2015년부터 본격 양산될 전망이다. 운송용기 수요는 이에 앞선 2013년부터 발생할 것으로 예상된다.

엔터그리스는 지난 1966년 설립돼 2000년에 미국 나스닥에 상장된 기업이다. 미국은 물론 말레이시아, 싱가포르, 대만, 중국, 일본, 독일 등 글로벌 사업장에 2700여명의 종업원들이 일하고 있다. 지난해 매출액은 7억4900만달러다.

특히 반도체 주변기기 및 반도체 웨이퍼 운송용기 시장 선두 주자로 300mm 웨이퍼 운송용기(FOSB/FOUP) 및 450mm 웨이퍼 운송용기(MAC/FOSB/FOUP) 분야 원천기술과 특허를 대부분 소유하고 있다. 관련해 국제반도체협회(SEMI)와 기술 표준화 작업도 진행하고 있다.

3S는 현재 국내 유일의 300mm FOSB 양산-공급 업체다. 엔터그리스와의 MOU를 바탕으로 웨이퍼 운송용기 사업에서 더욱 확고한 입지를 다질 수 있게 됐다.

회사 관계자는 "향후 고부가가치가 예상되는 450mm 웨이퍼 캐리어 운송용기 사업에서 더욱 유리한 위치를 차지할 것으로 예상된다"고 말했다.

 

출처: 머니투데이


Posted by 세모아
,


[헤럴드경제=조문술 기자]삼성전자의 반도체장비 3개 자회사가 ‘세메스’로 합병돼 1조원 규모의 회사로 거듭난다.

세메스는 반도체 설비업체 세크론, 지이에스와 통합해 전공정ㆍ후공정ㆍ개조까지 반도체장비 토탈 솔루션 구축할 계획이라고 18일 밝혔다.

이 회사는 이날 이사회를 열고 반도체 후공정 설비에서 두각을 나타내는 세크론, 반도체설비 개조 전문업체인 지이에스와의 합병을 결의했다. 세메스는 세정, 포토, 식각, 증착 등 반도체 전공정 설비의 대표주자로 지난해 7032억원의 매출을 올렸다.

합병은 다음달 3개 사의 주주총회 승인을 거쳐, 2013년 1월 완료될 예정이다. 합병비율은 관련 법령에 따라 산정하기로 했다. 합병을 통해 세크론(매출 2096억원), 지이에스(394억원)의 모든 인력과 자산은 세메스로 승계되며, 삼성전자 자회사의 지위도 유지된다.

세메스는 합병에 따라 2017년까지 세계 10대 반도체 설비업체에 진입하는 것을 목표로 하고 있다.

세메스 관계자는 “국내 반도체 산업의 위상에 비해 세계 시장에서 국내 장비업계의 영향력은 미미한 수준”이라며 “세계적인 경쟁력 확보를 위해 경영자원 및 사업역량 집중이 필요해 이같이 결정했다”고 설명했다.

Posted by 세모아
,

출처:http://www.semi.org/en/node/43256


TSMC Unveils Schedule for 450mm Mass Production — and Lithography is the Key

TEL, Lam Research, Applied Materials and KLA-Tencor Reveal Latest Developments in 450mm Technology

At the SEMICON Taiwan 2012 450mm Supply Chain Forum on September 7, leading foundries and equipment manufacturers such as TSMC, TEL, Lam Research, Applied Materials and KLA-Tencor convened to discuss the latest trends in 450nm technology as well as the opportunities and challenges involved. The experts at the forum agreed that many technical obstacles remain on the path to achieve mass production for 450mm wafers by 2018. The industry supply chain must collaborate on innovation to make this vision a reality.

Dr. C.S. Yoo, sr. director of 450mm Program, TSMCIn a presentation entitled "450mm Challenges and Opportunities," Dr. C.S. Yoo, senior director of the 450mm Program at TSMC, said that increasing node complexity means diminishing returns from process miniaturization. For this reason, the industry began studying 450mm wafers with the goal of improving production efficiency, accelerating technology ramp up and shortening production cycles. Yoo stated that these advantages, together with higher land and personnel utilization rates, will hopefully offer the semiconductor industry more opportunities for long-term development.

According to Yoo, the biggest question in the bid to realize mass production by 2018 is whether the industry can successfully develop the lithography required for 10nm node processes by 2015.  At the same time, the industry must solve problems such as rationalizing equipment costs to make return on investments predictable, realizing significant improvements in productivity, and development of automated unmanned foundry operations, smart equipment and green foundries.

The industry made many technological breakthroughs during the conversion over to 300mm wafers and Yoo expects that the transition to 450 will produce even more innovative technologies in the future. TSMC will leverage its partnership with the Global 450 Consortium (G450C) as well as work with IC and equipment manufacturers to support the successful transition of the industry to 450mm.

Dr. John Lin, general manager of G450C, introduced the latest developments at G450C. Lin also noted that significant advances in 450mm technology have occurred in the past year and industry interest is continuing to build.  Lin stated that the goal of G450C is to begin demonstrating 14nm technology this year and put 10nm into pilot production between 2015 and 2016. Major improvements in the quality of supply for 450mm wafers have been made. Most of the production machinery should complete the prototype phase by 2014 as well. As for lithography, the most crucial part of the project, the preliminary prototype will probably be completed in 2016 and be ready for mass production by 2018.

The CNSE cleanroom is expected to be ready by December 2012; it will be the first 450mm foundry in the world. Lin said that G450C will continue to collaborate with suppliers and SEMI to promote the standardization of 450mm hardware infrastructure components as well as back-end processing and packaging and testing operations. By sharing in the costs of development, the industry will enjoy the benefits offered by 450mm.

Among equipment manufacturers, Dr. Akihisa Sekiguchi (vice president and general manager of Corporate Marketing, TEL), Mark Fissel (vice president of 450mm Program, LAM Research), Kirk Hasserjian (corporate vice president of Silicon Systems Group, Applied Materials) and Hubert Altendorfer (senior director of 450mm Program, KLA-Tencor) all talked about the challenges involved with developing 450mm equipment.

Seikiguchi believes that 450mm will revolutionize the semiconductor industry and that only companies with strong financial fundamentals will survive due to the high cost of investment. With several years to go until the target of achieving mass production by 2018, Seikiguchi believes that the risks and uncertainty during this period makes proper communication and collaboration between customers, equipment suppliers, foundries and industry associations all the more important. The semiconductor industry should learn from past experience with 300mm transition to avoid making the same mistakes.

Mark Fissel of Lam Research also used the transition to 300mm as an example. The first prototype was completed in 1995 but the “dot com” bubble and other economic factors slowed progress and so it took nine years for 300mm wafer shipments to finally exceed 200mm wafers in 2004.  The development of 450mm equipment must also contend with design issues and challenges in terms of technology, capacity, cost and size. Fissel believed that the industry must balance the risk for 450mm development with its long-term ROI.

Kirk Hasserjian of Applied Materials proposed six important factors for a smooth transition to 450mm: Synchronization of the industry's transition timetable, maturity of lithography, cost sharing, collaboration, innovation and supply chain readiness.

The eventual wafer size transition will have widespread implications, both for those who make the transition as well as for those that wait. Much of the semiconductor ecosystem is now paying attention to — and planning for — the transition.  SEMI is facilitating the development of industry standards and the flow of information throughout the supply chain.  SEMI recently launched 450 Central (www.semi.org/450), a web-based information service to help the industry efficiently transition to 450mm-ready solutions and keep the industry informed of important news and perspectives on 450mm wafer processing.

The most knowledgeable and authoritative voices in the industry discuss these tough issues at SEMI events around the world.  Our objective is advance the dialog — to convey useful information to our attendees — and to serve as a platform for productive collaboration on these and other industry issues. The upcoming SEMICON Europa (October 9-11) features a 1.5 day session on “Progress in 450mm.”  For more information on SEMI, visit www.semi.org

September 20, 2012


Posted by 세모아
,

출처: http://www.taipeitimes.com/News/biz/archives/2012/09/05/2003541968

Wed, Sep 05, 2012 - Page 13 News List

TSMC plans 450mm wafers by 2018

NEXT-GENERATION:The 450mm wafers would produce 2.5 times as many chips as 300mm wafers, making production of 10-nanometer chips economically viable

By Lisa Wang  /  Staff reporter

Taiwan Semiconductor Manufacturing Co (TSMC, 台積電), the world’s top contract chipmaker, yesterday said it planned to start mass-producing next-generation 450mm wafers using advanced 10-nanometer technology in 2018.

The plan was included in the latest technology roadmap unveiled by TSMC about one year after the chipmaker attributed its delay in making 450mm wafers, originally scheduled in 2015, to semiconductor equipment suppliers’ postponement in developing advanced equipment for manufacturing amid the industrial slump.

The 450mm wafers would help solve the problem of rising costs in making advanced chips, allowing TSMC to provide affordable 10 nanometer chips with FinFET transistors for customers, J.K. Wang (王建光), vice president of TSMC’s operation in charge of 300mm factories, told a media briefing arranged by semiconductor industry association SEMI.

Cost constraints rather than technological constraints for chipmakers to migrate to next-generation chips via shrinking chip geometry, he said.

Chipmakers can get 2.5 times more chips from a 450mm wafer than from a 300mm wafer.

The advanced 10-nanometer chips could first be used in mobile devices and other consumer electronics, like game consoles, that demand high-performance and low power consumption, Wang said.

TSMC planned to build a pilot production line to make 450mm wafers between 2016 and 2017, when semiconductor ASML Holding NV had its key equipment ready in 2015, Wang said.

The chipmaker would consider building major 450mm-wafer production lines in Greater Taichung, he said.

Early this year, TSMC joined the Global 450 Consortium in a move to facilitate the transition of 450mm wafer production from its current 300mm wafers in collaboration with the world’s major chip companies, Intel Corp, Samsung Electronics Co, IBM Corp and GlobalFoundries Inc, Wang said.

Each company sent 20 engineers to jointly develop the technology and overcome challenges, Wang said.

Taiwanese chipmakers are expected to spend 8 percent more on semiconductor equipment to U$9.2 billion this year from last year’s US$8.2 billion, primarily driven by contract chipmakers, TSMC in particular, SEMI analyst Clark Tseng (曾瑞榆) said.

That was a contrast to an annual decline of 2.6 percent in spending by global chip companies this year, SEMI said.

TSMC planned to spend US$8.5 billion on new equipment this year.

Next year, Tseng expects local chipmakers to budget more than US$9 billion in total on new semiconductor equipment, meaning little change from this year’s spending. Any improvement in the macroeconomy would allow an upward revision, he said.

Taiwan and South Korea are expected to be the two largest markets for semiconductor equipment this year, with purchases totaling US$9.26 billion and US$11.48 billion respectively, according to SEMI figures.

TSMC shares rose 0.12 percent to NT$84 yesterday, outperforming the TAIEX’s 0.01 percent gain, while rival United Microelectronics Co (聯電) was flat at NT$11.95.


Posted by 세모아
,

출처: http://www.extremetech.com/computing/132604-intel-invests-in-asml-to-boost-extreme-uv-lithography-massive-450mm-wafers


Intel invests in ASML to boost extreme UV lithography, massive 450mm wafers

Intel Sandy Bridge CPU die shot


When Intel goes looking for new chip manufacturing technology to invest in, the company doesn’t play for pennies. Chipzilla has announced a major investment and partial purchase of lithography equipment developer ASML. The goal is to bring 450mm wafer technology and extreme ultraviolet lithography (EUVL) within reach despite the challenges facing both deployments.

Intel has agreed to invest €829 million (~$1B USD) in ASML’s R&D programs for EUV and 450mm wafer deployment, to purchase €1.7B worth of ASML shares ($2.1B USD, or roughly 10% of the total shares available) and to invest general R&D funds totaling €3.3B (~$4.1B USD). The total structure of the deal and the various payments break down as follows:

We’re talking about two very different types of investments here, so let’s break them out separately. Moving to 450mm wafers is a transition Intel and TSMC have backed for years, while smaller foundries (including GlobalFoundries, UMC, and Chartered, when it existed as a separate entity) have dug in their heels against the shift. The reasoning here is straightforward. Larger wafers allow for more chips per wafer and improve economies of scale, but they also require new fabrication equipment at virtually every step of the manufacturing process. It’s effectively impossible to retrofit 300mm equipment for 450mm wafers, which makes shifting from one to the other extremely expensive.

Comparative diameter

A 300mm wafer has an area of 70,685mm2. A 450mm wafer has an area of 159,043mm2.

At present, a number of fabs (including TSMC) continue to operate 200mm wafer lines, but the older 150mm standard has been largely phased out or is operating only in long-commoditized process nodes. Moving to 450mm would probably lead to gradual shutdown of 200mm lines. One of the caveats to 450mm production, however, is that the companies that build out these facilities need to be certain they can ship enough processors to keep the fabs loaded. This is one reason why Intel has staked so much on its “Atom Everywhere” strategy — between die shrinks and 450mm deployments, Intel needs to be significantly active in the cell phone market in order to build enough product to keep its own factories at capacity.

The EUVL situation is rather more complex.

EUVL is a technology that’s been percolating in the background for years, but the deployment time frame has slipped steadily outwards as problems stubbornly refused to roll over and solve themselves. The term refers to the use of extreme ultraviolet light to etch the features of next-generation microprocessors. Up until the 45nm node, everyone relied on “dry” lithography and ultraviolet lasers at the 193nm wavelength. At the 45nm node, AMD and IBM introduced what’s known as “immersion lithography.” This refers to the practice of inserting a layer of liquid between the lens and the wafer. Water, for example, has a refractive index of 1.44.

Immersion lithography allowed process technology to continue scaling at 45nm (for AMD/IBM) and at 32nm (for Intel). Other technologies, like double-patterning, have kept scaling rolling below 32nm — but all of these processes run out of gas when you drop below the 22nm node. At that point, a new scaling technology is required — and that’s where EUVL comes in.

The problem with EUVL is that it requires drastically different manufacturing conditions, a great deal more energy, and takes significantly longer to etch the same number of wafers. According to Wikipedia (take with a grain of salt) “An EUV source driven by a 20 kW CO2 laser with ~10% wall plug efficiency consumes an electrical power of ~200 kW, while a 100 W ArF immersion laser with ~1% wall plug efficiency consumes an electrical power of ~10 kW.” Even if the gap has shrunk considerably since the text was written, the sheer magnitude of the difference in power consumption illustrates the problem.

The ITRS roadmap is actually fairly optimistic about EUV, but notes that commercial production is still years away. Intel believes it can continue extending immersion lithography down past 22nm; GlobalFoundries had initially forecast transitioning at ~16nm, but may or may not keep to that timeline. Regardless, the ASML investment is Intel’s way of signaling that it’s investing the EUV issue by teaming up with a specialized firm while simultaneously ramping existing tech.


Posted by 세모아
,


반도체에 관해서 궁금한 것이 있으면 www.semipark.co.kr에 가시면 됩니다.

 

웨이퍼 제조 회사

LG-Siltron , SUMCO, SUMITOMO, MEMC, SHINITSU

반도체 회사들은 주로 위의 회사에서 만든 웨이퍼를 많이 씁니다.

 

Fabrication(FAB)

일반적으로 반도체 회사를 말한다면 FAB을 말하는 것입니다. 전체 반도체 공정에서 가장 긴 시간이 소요되며, 가장 많은 설비 투자가 필요한 곳입니다. FAB도 여러가지로 나눌수가 있는데 인텔이나 삼성, 하이닉스 마이크론, 인피니온, 르네사스, TI, ST-Micro 같은 회사들은 자체의 설계와 공정을 이용해서 자기의 제품을 만드는 회사들이고 TSMC, UMC, SMIC, 동부아남 같은 회사들은 설계를 가지고 있지 않지만 자체의 공정을 가지고 있어서 FAB-less 회사들의 주문을 받아 반도체를 생산합니다. 이런 부류의 회사를 Foundary라고 합니다. 대부분의 FAB들은 TEST까지 겸하고 있습니다. 전세계적으로 FAB들이 수시군데가 넘는데 일일히 나열하기는 힘들것 같군요.

 

Package

큰 회사의 경우에는 Package까지도 같이 하고 있습니다. Package만 전문으로 하는 회사로는 우리나라의 AMKOR(예전의 아남반도체), STECO(삼성자회사)가 유명합니다. Package 회사도 상당히 많은 것으로 아는데 저의 한게는 여기까지입니다.

 

장비업체

Photo : ASML, CANON, NIKON

ETCH : AMT(Aplied Material), TEL

기타 : NOVELUS, AMAT, SEZ, KLA TENKOR, ........

웨이퍼 제조회사

LG-Siltron , SUMCO , SUMITOMO , MEMC , SHINITSU

 

Fabrication (FAB)

 IDM(일괄공정)

  인텔,삼성,하이닉스,마이크론,인피니온,르네사스,TI,STmicro

 Foundry

  TSMC,UMC,SMIC,동부아남

 Package

  AMKOR,STECO

 

  반도체 공정별 장비·재료

 

공정별 장비·재료

◇ Equipment by Unit Process

Lithography
♠ Photomasks/Mask Aligner ♠ Mask/Reticle Manufacturing Equipment
♠ Photoresist Processing ♠ Photoresists
♠ Positioning Equipment ♠ Wafer Steppers & Scanners
◎ Thermal Processing /Implant
♠ Thermal: Annealing, RTP, Laser, E-Beam ♠ Furnaces/Diffusion/Oxidation Equipment
♠ Ion Implanters
◎ Deposition
♠ Chemical Vapor Deposition Equipment(LPCVD, PECVD)
♠ Sputtering(PVD)/Electroplating
◎ Etching/Planarization
♠ CMP Equipment ♠ Plasma Etch
♠ Wet Chemical Processing
◎ Packaging/Assembly
♠ Bonding: Wire, Die, TAB ♠ Dicing, Scribing and Die Separation
♠ Packaging, Encapsulating, Sealing
◎ Test/Metrology /Inspection
♠ Defect Inspection/Failure Analysis ♠ In-situ and In-line Monitoring
♠ Measurement Systems ♠ Microscopes: Optica
♠ Microscopes: Non-optical ♠ Patterned Wafer Inspection/CD Measurement
♠ Testers(Parametric and Functional)/Probes
◎ Contamination Control Vacuum equipment
♠ Contamination Control Products ♠ Static/Vibration Control Equipment
♠ Vacuum Pumps ♠ Residual Gas Analyzers
♠ Vacuum components(Bellows, Chambers, Gauges, Valves, etc.)
◎ Automation/Wafer Handling
♠ Interbay/Interbay Automation ♠ Robotics
♠ Wafer Cassettes, Boats ♠ Diagnostics Software
♠ Fab Automation Software ♠ Yield Management Software
♠ Advanced Compoment ♠ Equipment
♠ LCD ♠ Accesarils
◇ Materials by Process
◎ Chemicals & Handling
♠ Chemicals ♠ Chemical Delivery
♠ Thin Film Materials ♠ Wafer/Substrates
♠ Materials(FEOL/BEOL)
◎ Gases/Gas Handling
♠ Gases ♠ Mass Flow Controllers
♠ Gas Filters/Cabinets/Panels
◎ Function Materials
실리콘 웨이퍼, 화합물 웨이퍼
◎ Process Materials
Photomask(Reticle, Working Mask), Photoresist(Positive, Negative) Chemical(Process, Diffusion), Gases(Specialty, 분위기), Metal(Al, Ti, W, 합금)
◎ Packaging Materials
Lead Frame(Stamped, Etched), Bonding Wire(Au, Al)Packaging(EMC, Ceramic, Adhesive)
※ 세정용 Wet Chemical & Gases 

추가!

반도체 장비/공정 기술 용어집  
1. 기본 공통 용어   
(영어 사전상의 의미보다는 반도체 공정에서 일반적으로 사용되는 용어 입니다.)

용어 한글 표기 용어의 의미
Abort 중지 Processs 진행중 장비 이상등으로 인해Process 를 중지시키는 것
Agent 대리인 "외국장비 Maker 대신으로 장비를 Set-up문제조치 및 유지등을 지원하는 사람"
Adjust 조정 "장비또는 Controller등에서 기계적 또는 전기적으로 어떤 이상
                    적인 값이나 상태를 만들기 위해 조정해 주는것"
Align 정렬 "장비 또는 어떤 물질을 기계적,  물리적으로 정렬시켜 기본적  상태로 만들어 주는 것."
Air shower 공기 샤워 "Fab 內 청정도를 유지하기 위해 P/T 감소 방법으로 Class가 낮은
                곳에서 높은 곳으로 이동할 경우 Air힘으로 P/T를 제거시키는 것"
Accuracy 정돈, 정확도 "동작한 물체의 정도가 얼마만큼 정확하게 움직였는지 또는 얼마
                                      만큼 정확한 값이 나타내는 용어"
Axis 축 기계 상에서 회전부의 중심부분을 의미함
B.M(Breakdown Maintenonce) 비, 엠 장비에 문제또는 고장이 발생한후 취해지는 조치및 수리
Bake 베이크 "PR 등에 포함되어 있는 습기를 없애기 위해 고온으로 Wafer등을 처리하는 것 "
Ball Valve 볼 밸브 "Pump Exhamst부에 Pump로의 Back stream을 방지하기 위해
                               Valve 내부에 고무형태의 Ball이 들어있는 Valve"
Batch 배치 단위 Process중 동시에 여러장의 Wafer 를 처리하는 형태
Bay 베이 Fab 을 공정별로 구분하여 지정한 Area
Bearing 베어링 "동력전달부에 마찰을 줄이고 원활한 동력을 전달하기 위해 설치 되는 부품"
Bipolar 바이폴라 "Unipolar와는 대조되는 의미로서 전하는 이동하는 Carrier를
                            Electron과 hole이 동시에 역할을 담당하는 것"
Bit 비트 Memory의 기본단위(1Byte=8bits)
Booster 부스터 "보통 Booster pump를 말하며 pump speed를 증가 시키기 위해
                       보조 Pump로 사용(단독 사용불가)"
Bottle Neck 병목현상 "장비의 생산처리 능력 부족및 백업장비 부재로 인해  Wafer(Run)
                               가 밀집되는 현상"
Calibration 측정 장비 사용중 Reference값의 변화를 바로 잡는 것
Capa 캐파 Capability의 준말로 Wafer처리능력
Capacitor 캐패시터 전하를 저장할수 있는 소자
Carrier 캐리어  "① Wafer 를 이동시 사용하는 플라스틱 용기
                         ② 반도체에서 전하를 이동하는 입자"
Cassette 카세트 Wafer 끼리 접촉을 막기위해 Slot를 만들어 놓은 Wafer 보관용기
Chamber 캠버 Process가 진행되는 밀페된 공간
Chiller 냉동기 Cooling Water의 온도를 조절하는 장치
Chip 칩 "Wafer 제조공장에서 완성된 제품의 한조각을 말하며 한장의 Wafer에는 수많은 Chip이

            형성되어 있다"
Clamp 클램프 Process중wafer를 고정시키는 장치
Clean Mat 무진매트 "Clean Room용 신발바닥에 묻은 Particl을 제거하기 위해 만든

                                 접착력이 있는 매트"
Clean Note 무진노트 Fab內에서 사용되는 Particle이 발생되지 않는 종이로 만든 Note
Clean Room 청정실 "Particle 발생을 억제하고 Filter를 이용하여 발생된 P/T을 제거하여
                                 먼지가 거의 없는 공간"
Collector 콜렉터 Tr에서 전자를 받아 들이는 부분
Compressor 냉동기 "Cryo pump 에 압축 He을 보내 Cryo pump 內의 온도를  낮추는 장치"
Crack 크랙 "SOG 공정등에서 OCD 용액이 굳으면서 수축되어 일어나는 부스러기"
CVD 화확적 기상증착 Chemical Vapor Deposition의 약자로 Deposition할 물질을 고온으로 증발

                                시켜  wafer에 증착되도록 하는 Thin Film 성장 방법
CD 시디 "Critical Dimension의 약자로 Photo공정에서 Reticle의 패턴을 웨이 퍼에 노광후

              현상시킨후의 선또는 패턴의 폭 또는 길이를 의미함."
Deposition 증착 물질을 Wafer 표면에 쌓이도록 하는 것
Develop 현상 PR이 도포된 웨이퍼를 노광장비에서 노광후 현상하는 과정
Diffusion 확산 Doping 된 불순물을 주변으로 퍼지게 하는 과정
Dry Etch 건식각 "Chemical을 사용하지 않고 Plasma를 이용하여 불필요한 부분을 없애는 것"
Dummy wafer 더미 웨이퍼 "생산 Wafer의 빈자리를 매워 Batch 단위의 공정이  진행될수

                                          있도록 사용하는 Wafer"
Edge 에지 Wafer의 Device가 없는 가장자리 부분
Ellipso Meter 엘립소 메타 Oxide 두께를 측정하는 장치
Emergency 비상 문제발생시 긴급하게 장치의 Power를 차단
Emitter 에미터 Tr 에서 전자를 방출하는 부분
Etch 식각 필요한 부분을 제외한 나머지 부분을 깍아 없애는 것
Etch Rate 식각정도 식각되는 속도의 정도
FAB 팹 Fabrication의 약자로 Si웨이퍼가 가공되는 곳
Four Point Probe 포 포인트 프로브 Wafer표면 저항을 측정하는 장치
Furnace 로 Oxide 및 Anneal작업을 하는 로
Gate 게이트 FET에서 Source에서 Drain으로 가는 Electron의 양을 조절하는 부분
Gate Oxide 게이트 옥사이드 Gate를 보호하기 위한 산화막
Grinder 그라인드 Wafer의 뒷면을 가공하는 장치(back grinder)
G Line G선 "Mercury Lamp에서 나오는 약 200nm~700nm대역의 파장중 436nm
                 파장의 빛을 말하며 노광원으로 사용된다"
Hole 정공  + 전하를 띠고 Electron과 같이 전하를 이동하는 입자
I Line i선 "수은 램프에서 나오는 보통200nm~700nm의 파장의 빛중 i선에 상당하는

                  365nm대의 파장"
Ion 이온 원자나 분자가 전하를 띤 상태
Ion Gauge 이온게이지 "분자나 원자를 이온화시켜 그내부의 입자수를 Check하는Vacuum
                                     Gauge 일종"
Ion Implantation 이온주입 Wafer에 불순물을 주입하는 공정
LoT 로트 Wafer의 공정 수량 단위
Mano Meter 마노메타 배기부의 압력을 측정하는 계기
Metal Contamination 금속오염 "장비를 구성하고 있는 금속의 부분이 Sputtering되어 Wafer에

                                                 증착되어 Device에 나쁜 영향을 준다"
Metal Etch 메탈식각 Metal Line외의 부분을 깍아내는 공정
MFC 엠에프시 Mass Flow Control의 약자로 유체의 흐름을 조절하는 장치
MOS 모스 "Metal Oxide Semiconductor의 약자로 반도체(Si)의 표면에 산하막 (SiO2)을 형성하고

                 그위에 금속을 붙인 구조를 가지고 있다."
Marker 마커 "웨이퍼의 플랫존 부분에 LOT 이름 및 웨이퍼 번호등 을 만들어 주는장비"
O-Ring 오링 Vacuum Sealing 부분에 사용되는 고무재질의 링
Oxidation 산화 Si와 O2가 결합하여 SiO2가 되는 것
Oxide 산화막 절연및 Device 보호를 위한 산화막
Oxide Etch 산화막 식각 Ion주입을 위해 산화막의 일부를 제거하는 것
PR 피알 Photo Resistor의 약자로 일종의 감광액
Pattern 패턴 Mask에 Design된 Device의 형태
Photo 포토 "식각부위나 이온주위 부분을 지정하기 위해 일정부위를 보호하기 위한 Mask작업을

                  하는 공정"
PR Strip 피알제거 Photo Resistor를 현상액으로 약하게 한다음 Chemical로 제거하는 것
Quartz 석영 단결정의 수정을 가공해서 만든 무오염의 Wafer 취급 Part
Scrubber 스크러바 Toxic물질을 중화시키거나 걸러내는 장치
SEM 샘 "Scanning Electron Microscope의 약자로 주사형 전자현미경을 말함
             시료의 표면을 전자빔에 의해 텔레비전식으로 주사하고 거기에서
             나오는 2차 전자, 반사전자또는 시료전자를 검출하여 관찰하는 장치"  
Signal Tower 시그날 타워 장비의 상태를 알려주는 Tower Lamp         
Silicon 실리콘 Si, 4가 원소로서 반도체의 주원료  
SOG 에스오지 Spin On Grass의 약자로써 Wafer의 평탄화로 Topology를 좋게 한다  
Spec 스펙 공정에서 지정한 규정 또는 기준  
TAT 티에이 티 Turn Around Time의 약자로 Wafer투입후 가공완료까지의 소요시간  
Thereshold 문턱 Tr이 동작되기 위한 최소한의 Voltage  
Throughput 생산성 단위 시간당 처리할수 있는 능력  
Tilt 틸트 Channel 현상을 방지하기 위해 Wafer에 각도를 주는 것  
Tweezer 튀져 Wafer handing 도구  
Tube 튜브 Furnace 공정이 진행될수 있도록 Quartz로 만든 용기  
Ultra Sonic Cleaner 초음파 세척기 초음파를 이용한 Cleaning 도구  
Utility 유틸리티 장비 운전에 사용되는 전기, 물, 공기따위의 재료  
Wafer 웨이퍼 Chip을 만들기 위해 Si로 만들어진 판  
Wet Etch 습식각 Chemical를 이용한 식각 방법  
Wet Station 윁스테이션 습식각이 진행되는 장소
Yield 일드 투입한 Input량의 비율을 계산하여 산출량을 나타낼때 사용
            Fab Yield (생산일드)  
            Probe Yield (Test 일드)  
            PKG Yield (패키지 일드) 
            F/T Yield(Final Test일드) 

 

전공정 후공정 !!!

전공정은 웨이퍼위에 회로를 만드는 과정이라고 보시면 되고요 후공정은 기판위에 만들어진 회로들을 하나하나씩 짜르고 외부와 접속할 선을 연결하고 패키지하는 과정입니다. 자세한 내용은 밑을 참고하세요.
<대분류>
회로설계 ⇒ 패턴설계 ⇒ 마스크제작 ⇒ 웨이퍼 프로세스(전공정),기판공정(FEOL) ⇒
Si다결정제조 ⇒ Si단결정제조 ⇒ 경면 Si웨이퍼제조 ↗

⇒ 배선공정(BEOL) ⇒ 조립공정(후공정) ⇒ 검사공정(후공정) ⇒ 신뢰성검사공정(후공정) ⇒ 제품출하

<소분류> - 전공정 웨이퍼 프로세스
1. 기판공정(FEOL)
- 에피택시얼층 형성 ⇒ 아이솔레이션(트렌치.LOCOS) ⇒ 웰 형성(n웰.p웰) ⇒ 게이트산화막 ⇒
게이트전극형성 ⇒ 스페이서형성 ⇒ 커패시터 구조형성(DRAM) ⇒ 소스드레인형성 ⇒
배선 전 층간 절연막형성 ⇒ 평탄화공정 ⇒ 콘택트 홀 형성 ⇒ 콘택트 플러그형성

위의 공정이 끝난 후

2. 배선공정(BEOL)
- 콘택트 형성 ⇒ 배선패턴 형성(베리어층,메탈층,방사방지막) ⇒ 층간 절연막형성 ⇒ 평탄화공정 ⇒
비어 홀 형성 ⇒ 비어 플러그 형성 ⇒ 배선패턴 형성(베리어층,메탈층,방사방지막) ⇒
<반복공정> ⇒ 패시베이션



<기본 프로세스 기술의 분류>

- 대분류 - - 중<소>분류 -
1. 세정공정 ⇒ 웨트세정, 드라이 세정 등...
2. 열처리공정 ⇒ RTP(래피드 서멀)산화, 노(퍼니스)산화, 각종 어닐처리 등...
3. 불순물도입공정 ⇒ 이온 주입법, 열확산법, 이온도핑법 등...
4. 박막 형성공정 ⇒ 에피택시얼성장,CVD(화학적 기상성장),PVD(물리적 기상성장),도포막(SOG),도금법.
5. 리소그래피공정 ⇒ 레지스트 처리 ---- 레지스트도포, 베이크, 현상, 큐어, 레지스트제거.
패턴 에칭 ---- 드라이에칭, 웨트에칭.
노광기술 ---- 자외선, 전자빔, X선 등...
6. 평탄화 공정 ⇒ CMP(화학적 기계연마), 에치백 등...

★ 기본 프로세스 기술의 설명
1. 세정기술 (Cleaning)
- 세정은 리소그래피를 처음으로 하는 각 공정 사이에서 반드시 행해야 하는 것으로, 표면 청정화를
위한 공정이다. 또한 열처리,산화 등의 공정전에 행하여지는 것으로 "후처리","전처리"라 불리기도
한다. 이공정은 여전히 약액을 사용하는 웨트처리가 중심으로 ,RCA 세정의 경우는 H2SO4,HCI,NH4OH,
HF,H2O2 등의 약액 조합에의해 처리된다.

2. 열처리 (Thermal Treatment)
- 보통, 실리콘 기판을 800℃ 이상의 고온 산화 분위기 속에서 처리하면 표면에 실리콘 자체의 산화막
(SiO2)이 형성된다.
이막은 절연막으로써 실리콘을 사용하는 반도체 디바이스 제조의 출발점이다. 실리콘 플레이너
(Planar)방식의 기본이며, MOS 구조에 있어서는 게이트 절연막이 된다.
이들 산화막이 형성에는 청정한 분위기의 확산로가 쓰여지며, 철저하게 세정을 실시한 웨이퍼가 사용된다.

3. 불순물 도입 (Impurity Doping)
- 불순물 도입이란, Si 기판중에 B, As, P 등의 Ⅲ 가 및 V 가 족 원소를 불순물로서 도입, pn접합 형성과 불순물 농도제어를 행하는 기술이다.
열적인 확산법과 이온주입법이 있는데 현재로써는 이온주입법이 주류를 이루고 있다.
이온주입법에서는, 진공 상태에서 분리되어진 B, As, P 등의 이온에 고전압을 가해 가속시킴으로써 기판안에 주입안다.
불순물의 양은 이온전류에 의해 모니터 되고 열처리에 의해 활성화된다.

4. 박막 형성 (Thin Film Deposition)
- 기판상에 절연막, 실리콘막, 금속막을 형성(퇴적)시키는 막으로 CVD(Chemical Vapor Deposition :화학적 기상성장) 및
PVD(Physical Vapor Deposition :물리적 기상 성장)가 분리되어 사용되고 있다. SiO2, PSG, BPSG Si3N4 등의 절연막, 폴리실리콘막 및 W 등의 금속막은 CVD법에, A1, TiN 등의 금속 또는 도전성 막은 PVD법인 스퍼터링(Sputtering)에 의해 형성된다.
그외의 박막형성법으로는 회전 도포에 의한 코팅, 졸겔법이라고 불리는 절연막 형성법이 있다.

5. 리소그래피 기술 (Lithography)
- 리소그래피는 포토레지스트를 도포하는 공정으로 시작해 노광, 현상, 에칭, 포토레지스트 제거에 이르는 일련의 프로세스이다.
현상까지를 레지스트 처리공정으로 하며, 에칭 공정과 분리해서 생각할 수도 있다.
현재, 패턴 노광은 레이클이라 불리는 마스크 기판에 의해 축소 투영 전사시킴으로써 행해지고 있다. 이 공정은 모든 프로세스 기술의 중심이며, 반도체 공장에서도 가장 많은 금액의 투자를 필요로 하는 장치이다.
패턴 형성 후에는 반드시 에칭 공정이 수반되며 현성된 포토레지스트 패턴을 마스크로 하여 처리할 수 있다.

6. 평탄화 기술 (Planarization)
- 이 기술은, 최근에 와서 새롭게 사용되는 가공기술의 하나라 해도 좋을 것이다.
디바이스의 미세화와 고밀도화가 진행되면서 표면의 구조가 복잡, 요철이 심화되어지고, 특히 다층 배선공정에 있어서 단선이나 쇼트의 원인이 되기가 쉽다.
평탄화 기술은 그러항 이유 때문에 필요로 하게 되며 앞서 언급한 CMP 기술이 그 요체이다.
스태퍼에서 패턴을 투영할 때, 그 초점 심도의 감소에 대응하기 위해 표면을 평탄화하는 것도 필요한데, 항상 평탄한 면에 축소 투영을 실행함으로써 해상도를 높이는 효과가 크다.
평탄화에는 CMP법 이외에 에치백법과 플로(Flow)에 의한 평탄화법도 이용되고 있다. 또 패턴 형성을 위한 드라이에칭이 곤란한 Cu의 얇은 막 등에는 CMP법을 응용한 절연막 홈 내에 박아넣는 다마신(Damascene)법이 이용된다.
 
제조공정 전공정, 후공정...
일단 제가 알고 있는 반도체 제조 공정에 대해 답변하겠습니다.
전공정은 저도 잘 알고 있지 못해서....
일단 웨이퍼(반도체)가 만들어지면 이것을 하나의 상품으로 만드는 과정을 후공정이라고 합니다. TCP공정을 예를 들어서 설명하겠습니다
이런 웨이퍼을 제조회사에서 사들여서.......
수입 검사부터 하겠죠. 대부분이 샘플링 개념으로 수입 검사을 하고 있습니다.
이런 웨이퍼을 상품으로 만들기 위해 웨이퍼 하나씩 잘라야 합니다. 이 때 웨이퍼을 고정하기 위해서 tape에 웨이퍼을 붙입니다. 이 공정을 웨이퍼 마운틴이라고 합니다. 자른기 위한 준비가 다 되었으니까 웨이퍼을 자릅니다. 이 공정을 sawing공정(소잉공정)이라고 합니다. (동글란 웨이퍼상태에서 네모난 칩 하나씩 자른는 공정) 자른 칩을 상품화 시킨기 위해 본딩이라는 공정을 합니다. 이 본딩의 공정은 칩과 리드을 붙이는 공정입니다.
이 공정이 끝나면 칩과 리드을 보호하는 차원에서 칩 위에 수지을 덮어 씌웁니다. 이 공정을 pot공정이라고 합니다. 그리고 이런 pot공정이 끝나고 수지을 말리기 위해 오븐에 제품을 넣고 수지을 말립니다. 이 작업을 공정이라는 표현을 사용하지 않습니다. 제품에 제조회사에서 관리하는 일련번호을 인쇄하는 마킹공정이 있습니다. 그 다음은 검사 공정입니다. 이 검사 공정은 외관검사, Final test(전기적 특성검사)가 있습니다. 외관 검사는 말 그대로 현미경을 통해 제품을 보고 외관이 불량한 것을 가려내는 것입니다. Final test도 제품에 전기을 인가하여 제대로 동작하는지 가려내는 것입니다. 그리고 나서 packing공정입니다. packing공정은 말 그대로 제품을 포장하는 공정입니다.


Posted by 세모아
,

Posted by 세모아
,

출처 : http://blog.naver.com/semi_blog/30128443564


2012.1.4 일 뉴스.


Posted by 세모아
,

출처: http://www.etnews.com/news/device/device/2561304_1479.html


삼성전자, 시스템LSI 생산 집중 확대

올해 말 생산규모 전년 대비 2배 전망

발행일 2012.02.22


삼성전자가 지난해 상반기 5만장에 불과했던 시스템반도체 생산 능력을 올 연말까지 20만장 이상으로 늘린다. 플래시 메모리를 생산했던 기흥 14라인과 9라인을 지난 연말 시스템LSI라인으로 전환한 데 이어 추가 라인 전환으로 생산을 늘린다. 인텔을 추월하기 위한 삼성전자 승부수가 던져졌다.

22일 삼성전자 및 관련 업계에 따르면 플래시메모리를 생산하던 기흥 9라인을 지난 연말 300㎜ 시스템LSI라인으로 전환했다. 기흥 9라인은 최대 생산능력이 5만장 규모로 삼성전자는 올 상반기 최대 생산능력까지 생산량을 늘릴 계획이다.

이 회사는 또 다른 플래시 메모리 생산라인인 기흥 14라인도 300㎜ 시스템LSI라인으로 전환하고 현재 2만장 정도를 생산 중이다. 오는 6월까지 월 8만장 규모로 생산량을 늘릴 예정이다.

삼 성전자는 지난해 12월 미국 텍사스 오스틴의 시스템LSI 생산라인 `S2`를 완전 가동했다. 시스템LSI 라인으로 가동 중인 기흥 6라인과 7라인, S1라인 등을 포함하면 삼성전자 시스템LSI 라인은 국내외를 합쳐 8개로 확대됐다.

전체 생산규모도 300㎜ 웨이퍼 기준으로 올 하반기께 월 22만장을 넘어설 것으로 예상된다. 지난해 말 11만장에 비해 2배 이상 늘어나게 된다. 200㎜ 웨이퍼(5~7라인)는 월 12만장, 150㎜ 웨이퍼(4라인)는 월 4만장에 달한다.

삼성전자 는 플래시 메모리를 생산하는 기흥 8라인 역시 시스템LSI라인으로 전환한다. 연내 200㎜ 플래시 메모리 공정인 8라인을 300㎜ 시스템LSI라인으로 전환해 생산을 시작할 것으로 알려졌다. 8라인을 전환하면 월 생산규모는 5만장에 달할 것으로 추산된다.

업계 한 관계자는 “삼성전자는 지난해 말부터 8라인의 전환을 검토하고 있다”며 “연내 전환이 이루어질 것으로 예상되며 8라인을 끝으로 기흥 사업장은 완전히 시스템LSI 사업장으로 탈바꿈한다”고 말했다.

삼 성전자는 중장기적으로 시스템LSI와 낸드플래시로 나뉜 미국 오스틴 공장을 시스템LSI 전용으로 확대하는 계획도 검토 중인 것으로 알려졌다. 삼성전자가 내년 중국 낸드플래시 생산 공장 건립과 맞물려 오스틴 낸드플래시 생산라인을 중국 신설 공장으로 이전하고 그 자리를 시스템LSI 라인으로 전환하는 방안이 유력하다.

반도체 장비 업계 관계자는 “삼성전자가 올해 말이면 단일 기업으로 시스템LSI에서도 최대 생산능력을 갖추게 된다”며 “인텔과의 격차를 줄이는 계기가 될 것”이라고 말했다.

지난해 인텔은 540억달러(60조원) 매출을 기록, 반도체에서 34조원 매출을 기록한 삼성전자를 여유 있게 따돌렸으나 올해는 삼성전자 반도체 매출이 46조원으로 늘어나면서 격차를 크게 줄일 것으로 예상된다.

삼성전자는 “비메모리 부문 투자 확대를 위해 다각도로 검토하고 있으나 개별적인 생산라인 계획은 밝히기 어렵다”고 밝혔다.

서동규기자 dkseo@etnews.com

삼성전자 시스템LSI FAB 현황

(자료 : 삼성전자·업계 취합)


Posted by 세모아
,

출처 : http://news.mk.co.kr/v3/view.php?sc=30000017&cm=%EA%B8%B0%EC%97%85%C2%B7%EA%B2%BD%EC%98%81%20%EC%A3%BC%EC%9A%94%EA%B8%B0%EC%82%AC&year=2012&no=264516&relatedcode=&category=


삼성 기흥공장 비메모리로 전환
탕정 LCD라인 일부 OLED로…부품라인 대수술
기사입력 2012.05.01 18:38:35 | 최종수정 2012.05.02 11:54:22 싸이월드 공감 트위터 페이스북 미투데이

삼성전자가 기흥 반도체 사업장과 미국 오스틴 공장을 비메모리(시스템 반도체) 전용 생산라인으로 전면 전환한다.

이와 함께 삼성디스플레이로 분사된 대형 LCD 생산라인의 일부를 유기발광다이오드(OLED) 라인으로 바꾸는 작업을 진행할 것으로 알려졌다. 삼성전자의 반도체ㆍ디스플레이 생산라인에 대대적인 변화가 일어나는 셈이다.

1일 전자 업계에 따르면 삼성전자는 D램을 주로 생산하는 기흥 8라인을 비메모리 생산라인으로 전환하고, 미국 오스틴 공장의 낸드플래시 설비를 비메모리 생산라인으로 돌리는 작업을 연내에 추진할 방침이다.

삼성전자는 낸드플래시를 생산했던 기흥 9라인과 14라인을 비메모리 라인으로 전환하는 작업을 작년 말 단행했으며 9라인 옆에 있는 8라인도 비메모리 생산 설비로 올해 하반기 중 교체할 것으로 파악됐다.

기흥 8ㆍ9라인은 200㎜ 웨이퍼를 투입하던 메모리 생산라인이지만 이를 비메모리 라인으로 바꾸면서 300㎜ 웨이퍼가 투입될 것으로 알려졌다.

또한 기흥 8라인의 인력 재배치도 진행되고 있는 것으로 전해졌다.

이처럼 메모리 전용 생산시설로 쓰이던 8ㆍ9ㆍ14라인의 전환 작업이 모두 완료되면 삼성전자 기흥사업장은 비메모리 전용 생산단지로 완전 탈바꿈하게 된다.

반면 10ㆍ11ㆍ12ㆍ13ㆍ15ㆍ16라인이 위치한 화성사업장은 메모리 생산을 전담하고 있다.

김명호 삼성전자 상무는 "시스템 반도체 관련 수요가 증가하면서 메모리 라인 일부를 추가로 전환할 수 있다"면서 "국내외에 있는 메모리 라인 모두가 전환 검토 대상"이라고 밝혔다. 삼성전자는 1996년 미국 텍사스 오스틴에 설립한 반도체 공장의 낸드플래시 라인을 비메모리 시설로 연내에 전환할 계획이다. 오스틴 공장은 모바일용 시스템 반도체를 애플 등에 공급하고 있다.

삼성이 시스템 반도체 라인을 서둘러 확충하는 것은 스마트폰ㆍ태블릿PC 등 급증하는 모바일 기기용 비메모리 수요에 발 빠르게 대응하기 위해서다.

특히 디지털 제품의 `두뇌`에 해당하는 모바일용 애플리케이션 프로세서(AP)의 주문이 삼성에 밀려들고 있으며 물체의 정보를 디지털화하는 카메라 이미지센서, LCD 동작을 제어하는 디스플레이용 구동칩 수요도 증가하는 추세다.

김영찬 신한금융투자 연구위원은 "삼성 D램과 낸드플래시의 글로벌 점유율이 40%를 넘나들면서 메모리 성장성이 거의 정점에 다다랐다"며 "중국 시안에 짓는 낸드플래시 공장의 생산량을 감안해 기존 메모리 라인을 정리하려는 포석도 있을 것"이라고 말했다.

삼성이 글로벌 반도체 시장의 80%가 넘는 비메모리 시장을 본격적으로 공략하는 신호탄이라는 해석도 나온다. 명실상부한 `반도체 톱`으로 올라서기 위해 비메모리 역량을 강화하는 승부수를 던졌다는 것이다.

삼성그룹은 올해 15조원의 반도체 투자를 확정하면서 시스템 반도체에 8조2000억원을 투자하기로 했다. 사상 처음으로 메모리 반도체 투자를 앞지르게 된 게 특징이다.

삼성전자는 충남 탕정에 위치한 8세대 대형 LCD 라인의 일부를 TV용 OLED 라인으로 전환하는 방안을 검토하고 있다. LCD사업부를 넘겨받은 삼성디스플레이와 OLED 사업을 전담하는 삼성모바일디스플레이(SMD)가 7월 통합하는 만큼 OLED 라인을 확충하기 위한 작업에 탄력이 붙을 것으로 파악된다.

삼성 관계자는 "삼성전자 LCD사업부는 TV용 디스플레이 생산에 필요한 기술력과 인력을 확보하고 있다"면서 "이를 SMD에 접목할 경우 적은 비용으로 OLED TV 패널을 양산할 수 있는 기반을 갖출 수 있다"고 말했다.

[황인혁 기자]


Posted by 세모아
,
선제적 산업위험평가 시리즈 (1) ; 반도체/장비산업
Industry Early Warning Series (1)
- Semiconductor/Equipment Industry -


Posted by 세모아
,
출처: http://cafe.naver.com/probecard.cafe?iframe_url=/ArticleRead.nhn%3Farticleid=417&


Posted by 세모아
,
from: http://secstart.tistory.com/122

메모리 오로지 pc시장에서의 메모리사용에서 각종 IT분야에 널리 사용되는 환경이 되었습니다.
디지털카메라,MP3 플레이어 ,휴대폰 등,,
하여 플래시 메모리 시장이 크게 성장하고 있습니다. 어제 삼성전자에서30나노 64Gb 낸드플래시 개발하여다 하지요. 그렇다면 플래시 메모리란 뭘말하는 것일까요? 자세히는 몰라도 조금 살펴 봅시다.
사용자 삽입 이미지
<위의 그림은 www.nandflash.co.kr 얻은 파일 중 한 부분입니다. >
아래글을 읽기전 여러분이 미리 조금 아셔야 할 내용은 NAND(낸드) 와 NOR(NOR) 입니다.
NAND는 NOT AND를 줄인말이라 생각하시면 되고 이같은 동작을 하는 회로를 기본으로 한다는 말입니다. AND는 모든 값이 참일때 참이 되는 논리값을 갖게 하는 논리명령입니다.
OR 는 하나의 값이라도 참이면 참의 결과를 반환 하는 논리명령입니다. 이들의 앞에 NOT을 붙인 명령이 NAND와 NOR 입니다.

NAND회로는 직렬성을 갖고 NOR회로는 병렬성을 갖는다고 합니다. 하여 둘의 용도가 구분이 되지요. NAND 는 직렬성을 갖기에 회로가 단순해지고 하여 고용량화가 쉽다고 합니다. NOR는 병렬성을 갖기에 속도가 빨라 빠른 속도가 필요한 메모리를 생산하기 쉽다고 합니다.

사용자 삽입 이미지
<위의 그림은 www.nandflash.co.kr 얻은 파일 중 한 부분입니다.>

아래의 내용은 http://www.itc-memory.com 의 글입니다.
플래시 메모리는 크게 노어(NOR)형과 낸드(NAND)형으로 구분되며, 낸드(NAND)형은 저장할 수 있는 용량에서, 노어(NOR)형은 정보의 처리속도에서 앞서 있다. 노어(NOR)형은 주로 휴대전화의 메모리로 사용되며 낸드(NAND)형은 MP3플레이어, 인터넷폰, 디지털 카메라와 디지털캠코더, 휴대용 저장장치인 USB카드 등의 메모리로 채용된다.

낸드(NAND) 플래쉬 메모리란 플래쉬메모리의 한 형태입니다. 플래쉬메모리란 전원이 없는 상태에서도 메모리에 데이타가 계속 저장돼어질 수 있는 메모리를 말함 또한, 데이타의 저장/삭제가 자유롭다. 이런 플래쉬메모리는 내부방식에 따라 노어(NOR)형과 낸드(NAND)형으로 나누는데, 노어(NOR)형이란 셀이 병렬로 연결된 방식이고, 낸드(NAND)형이란 셀이 직렬로 연결된 구조를 가지고 있다. 낸드(NAND)플래쉬메모리는 주로 요즘 나오는 메모리카드중 SD카드나 Memory stick 에서 쓰이는 메모리이고, 노어(NOR)플래쉬메모리는 MMC카드나 Compact flash 메모리에 쓰이는 타입임. 이외에 노어(NOR)형은 주로 핸드폰이나 셋톱박스 등에 주로 쓰이고 낸드(NAND)형 은 디지털카메라나 MP3 등에 주로 쓰이고 있음. 낸드(NAND)형은 NOR형에 비해 제조단가가 싸고, 대용량이 가능하다는 장점이 있는 반면에, 노어(NOR)형은 낸드(NAND)형에 비해 데이타의 access 타임이 짧고 (so fast)데이타의 안정성이 우수한 장점을 가지고 있음.
Posted by 세모아
,